Intel päivitti roadmappiaan Foundry Direct Connectissa ensimmäisellä High-NA EUV -prosessilla

Kaotik

Banhammer
Ylläpidon jäsen
Liittynyt
14.10.2016
Viestejä
21 593


Kaotik kirjoitti uutisen/artikkelin:
Intel on pitänyt piirituotantolaitostensa tiimoilta Foundry Direct Connect -tapahtuman. Tapahtumassa paljastettiin paitsi yksi uusi prosessi roadmappiin, kerrottiin myös muista edistysaskelista ja yhteistyökuvioista asiakkaiden kanssa. Nykytrendien mukaisesti tekoälyn on oltava läsnä kaikkialla ja Intel kuvaakin itseään maailman ensimmäisenä tilausvalmistajana, jonka tuotantolaitokset on suunniteltu ajamaan eteenpäin tekoälyaikautta.



Intelin toimitusjohtaja Pat Gelsinger lupasi vuonna 2021 yhtiön tuotantolaitosten tulevan ottamaan käyttöön jopa viisi uutta prosessia neljän vuoden aikana. Intel 7- ja Intel 4 -prosessit ovat ajankohtaisesti aktiivisesti käytössä ja Intel 3 on yhtiön mukaan nyt valmis massatuotantoon. Neljäs ja viides prosessi eli Ångström-aikakauden Intel 20A ja 18A ovat yhtiön mukaan aikataulussaan. Roadmappiin lisättiin myös täysin uusi Intel 14A -prosessi, joka tulee olemaan ensimmäinen High-NA EUV -prosessi.



Todellisuudessa prosesseja tulee enemmänkin, sillä useammasta prosessista on luvassa myös erilaisia päivitysvariantteja. Esimerkiksi Intel 3 -noodista on tulossa 3-T-, 3-E- ja 3-PT-versiot, 18A:sta 18A-P-versio ja 14A:sta 14A-E. ”P” viittaa suorituskykyisempään versioon noodista, jonka pitäisi parantaa suorituskykyä per watti noin 5-10 %, ”T” piin läpivientejä (through silicon via) ja Foveros Direct 3D:tä tukevaan versioon ja ”E” uusia ominaisuuksia tuovaan versioon, millä viitataan esimerkiksi normaalia korkeampia jännitteitä tai lämpötiloja tai muita vastaavia ominaisuuksia tukevaan versioon. Lisäksi tulossa on vanhempaa polvea edustavat Tower Semiconductorille pyhitetty 65 nm:n prosessi sekä UMC:n kanssa yhdessä kehitetty Intel 12 -prosessi.

Intel kertoi tapahtumassa myös saaneensa Intel 18A -prosessia hyödyntävän Clearwater Forestin ns. tape-out-vaiheeseen eli valmiiksi tuotantoon. Clearwater Forest on Intelin toisen sukupolven ”E-ydin Xeon”. Prosessorissa tullaan hyödyntämään useampia siruja ja niiden pohjasiru valmistetaan Intel 3 -prosessilla. Se hyödyntää 18A:n kautta myös PowerVia- ja RibbonFET-teknologioita sekä EMIB- ja Foveros Direct -tekniikoita sirujen yhdistämiseen.

Kuluttajapuolelle Intel 18A tullaan saamaan Panther Lake -piirissä ja Microsoft on jo ehtinyt sopia valmistuttavansa vielä nimeämättömiä omia sirujaan samaisella prosessilla. Intel on muutoinkin hamuamassa talliinsa lisää asiakaspiirien valmistajia ja Gelsinger mainitsi erikseen nimeltä myös veriviholliseksikin monen mieltämän AMD:n potentiaalisena asiakkaana, unohtamatta NVIDIAa, Qualcommia ja muita jättejä.

Lähemmin asiasta kiinnostuneille suosittelemme esimerkiksi AnandTechin syväluotaavampaa katsausta aiheeseen.

Lähde: Intel, AnandTech

Linkki alkuperäiseen juttuun
 

Kaotik

Banhammer
Ylläpidon jäsen
Liittynyt
14.10.2016
Viestejä
21 593
"Roadmappiaan"?
roadmap on vakiintunut etenkin tietotekniikkayhteyksissä koska sitä käytettiin jo ennen kuin tiekarttaa ruvettiin käyttämään samassa yhteydessä (silläkin lienee oma merkityksensä että tiekartta suomennos on niin pitkään tarkoittanut vain juuri sitä miltä kuulostaakin, tiekarttaa. nykynuorisolle toki voi olla vieras käsite jo mutta esimerkiksi allekirjoittanut muistaa hyvin kesämatkat ja muut tiekartoista suunnistaen)
 
Liittynyt
18.10.2016
Viestejä
1 832
Skeptikkona edelleen vahvasti epäilen joskos Intelin kilpailijoiden kannattaa toimittaa piirejään Intelin omistaman tehtaan katsottaviksi+tuotettaviksi? Intelin omistama tehdashan ei "koskaan valuta tietoja omistajalleen." :rofl:
 
Toggle Sidebar

Statistiikka

Viestiketjut
240 670
Viestejä
4 207 821
Jäsenet
70 932
Uusin jäsen
pite

Hinta.fi

Ylös Bottom